ACM Research Receives First Purchase Order for Ultra C SiC Substrate Cleaning Tool

FREMONT, Calif., March 27, 2023 (GLOBE NEWSWIRE) -- ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today, through its operating subsidiary ACM Research (Shanghai), Inc., announced receipt of the first purchase order (PO) for its Ultra C SiC substrate cleaning tool. The platform leverages ACM’s patented Space Alternated Phase Shift (SAPS) cleaning technology which is designed to achieve more comprehensive cleaning without damage to device features. The PO was received from a leading Chinese silicon carbide (SiC) substrate manufacturer and is expected to ship before the end of the third quarter of 2023.

SiC substrates are used to manufacture power semiconductors, which are used in a wide range of applications such as power conversion, electric vehicles, and renewable energy. The key advantages of SiC-based technology include reduced switching power losses, higher power density, better heat dissipation, and increased bandwidth capability. Increased demand for power semiconductors from various industries such as automotive and renewable energy is driving growth in the SiC device market, which is expected to exceed $4 billion USD by 2026 according to Yole Développement1.

“The power semiconductor market is experiencing strong growth, with the electric vehicle market and related infrastructure seeing rapidly accelerating deployments,” said David Wang, founder and CEO of ACM. “This order represents the successful application of ACM’s experience in advanced semiconductor wafer processing equipment to meet the unique requirements of SiC substrate manufacturing. We remain committed to expanding our product portfolio to support additional market opportunities.”

About the Ultra C SiC Substrate Cleaning Tool

ACM’s Ultra C SiC substrate cleaning tool uses SC1 (ammonia/hydrogen peroxide), SC2 (hydrochloric acid/hydrogen peroxide), DHF and other chemicals for the cleaning process, and can also be equipped with ACM’s proprietary Smart Megasonix to achieve better cleaning performance. The tool is 6- and 8-inch compatible and is designed to achieve throughput of more than 70 wafers per hour. The tool has been optimized for handling fragile SiC substrates to minimize breakage.

Forward-Looking Statements

Certain statements contained in this press release are not historical facts and may be forward-looking statements within the meaning of the Private Securities Litigation Reform Act of 1995. Words such as “plans,” “expects,” “believes,” “anticipates,” “designed,” and similar words are intended to identify forward-looking statements. Forward-looking statements are based on ACM management’s current expectations and beliefs, and involve a number of risks and uncertainties that are difficult to predict and that could cause actual results to differ materially from those stated or implied by the forward-looking statements. A description of certain of these risks, uncertainties and other matters can be found in filings ACM makes with the U.S. Securities and Exchange Commission, all of which are available at www.sec.gov. Because forward-looking statements involve risks and uncertainties, actual results and events may differ materially from results and events currently expected by ACM. Readers are cautioned not to place undue reliance on these forward-looking statements, which speak only as of the date hereof. ACM undertakes no obligation to publicly update these forward-looking statements to reflect events or circumstances that occur after the date hereof or to reflect any change in its expectations with regard to these forward-looking statements or the occurrence of unanticipated events.

About ACM Research, Inc.
ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing, vertical furnace processes, track and PECVD, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

© ACM Research, Inc., SAPS, Smart Megasonix and the ACM Research logo are trademarks of ACM Research, Inc. For convenience, this trademark appears in this press release without a ™ symbol, but that practice does not mean ACM will not assert, to the fullest extent under applicable law, its rights to such trademark.

Media Contact:Company Contacts:
Shannon BloodUSA
KiterocketRobert Metter
+1 208-216-9180+1 503.367.9753
sblood@kiterocket.com  
 Europe
Sallyann Henry
+43 660 7769721
China
 Xi Wang
 ACM Research (Shanghai), Inc.
  +86 21 50808868
  
 Korea
 YY Kim
 ACM Research (Korea), Inc.
 +821041415171
  
 Taiwan
 David Chang
 +886 921999884
  
 Singapore
 Adrian Ong
 +65 8813-1107

______________________________

1 https://www.semiconductor-today.com/news_items/2021/jul/yole-230721.shtml 


Primary Logo

Data & News supplied by www.cloudquote.io
Stock quotes supplied by Barchart
Quotes delayed at least 20 minutes.
By accessing this page, you agree to the following
Privacy Policy and Terms and Conditions.